[an error occurred while processing this directive] [an error occurred while processing this directive]
И правильно делает что не принимает(+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено vitA 30 июля 2001 г. 18:15
В ответ на: Ответ: Ну не понимает макс что нужно заменять на мультиплексор отправлено www 30 июля 2001 г. 17:48

>reg a,b;
>wire bus=a_oe?a:'bz;
>assign bus=b_oe?b:'bz;

Макс старается избегать конфликтных ситуаций, т.к. в самом ПЛИСе (внутри) не заложена защита от перегрузок. Пример : a_oe = "1" и
b_oe = "1" , что будет на "bus"? - грейте паяльник и меняйте жука.
Обращаю Ваше внимание - мой пример коренным образом отличается от Вашего. Синтезатор должен быть ОЧЕНЬ разумным, чтобы из вами описанной
конструкции синтезировать переключатель.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru