[an error occurred while processing this directive] [an error occurred while processing this directive]
на самом деле (на практике приходилось исправлять)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено yes 14 июня 2001 г. 12:23
В ответ на: Спасибо. отправлено Константин 13 июня 2001 г. 19:04

я бы написал SDF файл и подцепил его к модулю...

в обоих методах можно задать отдельные времена для перехода 1->0 0->1 1->Z Z-> ... и так далее и для различных условий (min:typ:max)

как сделать что-либо подобное на VHDL - не знаю

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru