[an error occurred while processing this directive]
Вот такое
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 23 июня 2004 г. 15:16
В ответ на: У меня много одинаковых по структуре синхронных счетчиков отправлено karabas 22 июня 2004 г. 18:58

XST сделал как надо.
Нужно правда все хорошо проверить, первый раз так делал.
component coun is
generic(n : integer);
port(
CLK : in STD_LOGIC;
RST : in STD_LOGIC;
A_IN : in STD_LOGIC_VECTOR(n downto 0);
O_OUT : out STD_LOGIC_VECTOR(n downto 0)
);
end component;
constant c0 : integer := 12345;
constant i0 : integer := integer(trunc(log2(real(c0))));
signal a,o : std_logic_vector(i0 downto 0);
begin
a <= conv_std_logic_vector(c0,i0 + 1);
u_c0 : coun
generic map(n => i0)
port map(
CLK => clk, RST => rst,
A_IN => a,
O_OUT => o
);

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru