[an error occurred while processing this directive]
Получилось! Но вопрос остался: как все-таки завести сигнал на синхронный сброс триггера?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Интересно 09 июля 2004 г. 12:44
В ответ на: Внесите выражения для t и c под Clk. отправлено A_S_N 08 июля 2004 г. 13:33


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru