[an error occurred while processing this directive]
Синхронный сброс - это логика + триггер. Он у Вас уже есть.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено A_S_N 12 июля 2004 г. 17:07
В ответ на: Семейство SPARTANXL, пакет F2.1 (антиквар, но другого пока нет). В схематик есть примитив FDRE, значит можно построить счетчик и завести сигнал t на синхронный сброс. Как это сделать на vhdl? отправлено Интересно 12 июля 2004 г. 16:04

В семействе Spartan нет триггеров с синхронным сбросом. Синхронный сброс - это логика в LUT, которая коммутируется на вход D-триггера. В данном случае, синхронный сброс или установка означает, что изменение состояния триггера произойдет только по синхросигналу.
И выбросите Вы этот F2.1 - старьё. Просто потеряете время, учите как следует VHDL или Verilog. Поверьте, после того как пересядете со схематика на HDL продуктивность возрастет в разы.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru