[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 19 июля 2004 г. 13:13
В ответ на: p.s. это пока заготовки. на реализацию не смотрите. я просто хочу понять смысл (+) отправлено Сергей_H 16 июля 2004 г. 19:05

Если formal не интересно никуда подключать то в качестве actual надо использовать open. (Это, помоему, в Верилоге неподключённые сигналы просто запятыми прокидываются).
ts_inst: component mpeg_tsi PORT MAP (
open,
TsClk,
...

Если open подсоединяется ко входному порту то тому входному сигналу нужно значение default.
component mpeg_tsi PORT (
TsClkIn: IN Std_logic := '1';
TsClkOut: out Std_logic;
...
К выходным портам open подсоединяется без проблем.

Positional association elements должны следовать строго перед named association elements (если используются и те и другие).
ts_inst: component mpeg_tsi PORT MAP (
open, TsClk, open, open, open, TsByte => TsByte, sys_clk => sys_clk
);

zlyhухо@icmailточка.ru

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru