[an error occurred while processing this directive]
Net, eto i est samyj verhnyj uroven'. Kstati vopros: kakoje preimuschestvo daet U_SET i RLOC_RANGE? Ja nikogda eto ne stavil i vse vsegda RLOC'aetsia kak nado na liubyh urovniah (krome pamiati)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Nicola_Canadian 01 ноября 2004 г. 22:22
В ответ на: Ответ: Не знаю возможно ты используешь уровнем выше модификатор, типа RLOC который изменяет твои RLOCi для памяти и всего содержимого блоко попробуй выделить блоки в отдельный U_SET возможно понадобиться еще привязка типа RLOC_ORIGIN или RLOC_RANGE отправлено vitus_strom 01 ноября 2004 г. 11:54


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru