[an error occurred while processing this directive]
Может я чего-то не понимаю.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 10 ноября 2004 г. 13:19
В ответ на: Ответ: (+) отправлено dxp 10 ноября 2004 г. 12:26

В схемном редакторе я работал 2 раза и по принуждению.
Знаний конечно мало. Но мне хватило того, что я видел.
Вот вырвал пример с верхнего уровня. В данном случае это описание шины данных для 5 модулей.

type BUS_V is array (0 to 4) of std_logic_vector(width_d - 1 downto 0);
signal data_bus : bus_v;
дальше будет :
port map( …, data => data_bus(0), …)

Попробуйте это нарисовать.
Шины управления - они намного сложнее и запутанее.

Вот еще:

type sinh is array (0 to 3) of integer;
constant cnt_c : sinh := (7,3,5,1500);
Это константы для счетчиков - передаются через generic различным модулям для их синхронизации.
Как это рисовать?

Вот тоже верхний уровень.
for i in 0 to 4 generate
data_out <= data_bus(i) when rd_v(i) = '0' else (others => 'Z');
end generate;
Как это будет выглядеть на схеме?

Крутить можно как угодно, но только отдельные проекты в схеме могут сравниться с языком (по любым параметрам).



Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru