[an error occurred while processing this directive]
Ответы: (+)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 22 ноября 2004 г. 13:33
В ответ на: Не улавливаю тонкой разницы (+) отправлено dxp 22 ноября 2004 г. 12:35

1) Примитив это тот-же модуль, но просто со встроенным внутрь синтезатора описанием. Я не вижу разницы между примитивом и модулем. Написав свой модуль "MY_NODE" в котором IN=OUT, я получу точнейший аналог "NODE". И после синтеза очень многих модулей и их частей в их оригинальном виде тоже нет, это естественно. А вот серьезнейшая разница именно в методе прицепления портов.

Вот для примера:

my_count[7..0]:MY_SPECIAL_FF;


my_count[].clk = clk;
my_count.ena = enable;
my_count[].inverse_out = (my_count[]==H"28");
my_count[].din = my_count[]+1;


А на верилоге придется встроить генерейтом 8 модулей, задать всем порты, и описать все данные подключения отдельно. Опять нет разницы?


2) А вот defaults и if/case вне always - чтобы не писать немеренной длины assign'ы из операторов "?:". И не думать про "latch inference" если строишь процессы с always (@*).

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru