[an error occurred while processing this directive]
Никак (+)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 10 декабря 2004 г. 14:46
В ответ на: пятничная задачка :) сделать минимальную схему обработки I2C start/stop отправлено yes 10 декабря 2004 г. 13:12

один выделяет перепад на данных, второй защелкивает по факту перепада состояние клока...

d_trig : DFF;
s_trig : DFFE;

d_trig=SDA;
d_trig.clk=hi_speed_clock;

s_trig=SCL;
s_trig.clk=hi_speed_clock;
s_trig.ena = d_trig XOR SDA;

выход - s_trig.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru