[an error occurred while processing this directive]
|
-- I2C Start/Stop Generator
-- KA
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity I2C_Start_Stop is
Port (
SCL : in std_logic;
SDA : in std_logic;
Q : out std_logic
);
end entity I2C_Start_Stop;
architecture Behavioral of I2C_Start_Stop is
signal CLK : std_logic;
signal Q_TMP : std_logic;
begin
CLK <= SDA XOR Q_TMP;
process(CLK)
begin
if rising_edge(CLK) then
Q_TMP <= SCL;
end if;
end process;
Q <= Q_TMP;
end architecture Behavioral;
E-mail: info@telesys.ru