[an error occurred while processing this directive]
какякал 5 минут.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено KA_n 10 декабря 2004 г. 15:36
В ответ на: за идею, спасибо отправлено yes 10 декабря 2004 г. 15:15

-- I2C Start/Stop Generator
-- KA

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity I2C_Start_Stop is
Port (
SCL : in std_logic;
SDA : in std_logic;
Q : out std_logic
);
end entity I2C_Start_Stop;

architecture Behavioral of I2C_Start_Stop is
signal CLK : std_logic;
signal Q_TMP : std_logic;
begin

CLK <= SDA XOR Q_TMP;

process(CLK)
begin
if rising_edge(CLK) then
Q_TMP <= SCL;
end if;
end process;

Q <= Q_TMP;
end architecture Behavioral;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru