[an error occurred while processing this directive]
Я пробовал так.... (VHDL)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Compana 05 января 2005 г. 17:48
В ответ на: Я бы так делал, если без всяких выкрутасов (+) отправлено Victor® 05 января 2005 г. 12:15

Большое спасибо за ответы. Очень благодарен. Я попробовал сделать такую штуку. Но не срослось что-то, что-то я не так делаю... Если будет время, взгляните пожалуйста. Вообще таким образом можно подобные задачи решать или сама идея неправильная?

entity ig3 is
Port ( clk50m : in std_logic;
led_out : out std_logic;
cnt_req : out std_logic);
end ig3;

architecture Behavioral of ig3 is
begin

Process (clk50m)
Variable CNT : Natural;

Variable tmp1 : std_logic :='1';
Variable tmp2 : std_logic :='0';

BEGIN
If (Rising_edge(clk50m)) THEN CNT := CNT + 1; END IF;

If CNT = 49 THEN tmp1 := '0'; END IF;
If CNT = 59 THEN tmp2 := '1'; END IF;
If CNT = 50059 THEN tmp2 := '0'; END IF;
If CNT = 50119 THEN tmp2 := '1'; CNT := 0; END IF;

led_out <= tmp1;
cnt_req <= tmp2;

END Process;
end Behavioral;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru