[an error occurred while processing this directive]
Уважаемые! В чём можно делать толковую timing симуляцию VHDL нетлиста полученного из Квартуса 4.2?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Зок Мёдов 14 января 2005 г. 21:23

Проект (для Cyclone) изначально создавался в Квартусе 4.1, потом перешёл на 4.2
В проекте много констрейнов, а также память с начальной инициализацией.
Возникла необходимость отмоделировать протяжённый по времени кусок с учётом всех реальных задержек.
Поэтому пришлось писать тестбенч. Тут и начались проблемы.
Поначалу убедившись, что функциональная симуляция работает правильно, попытался откомпилировать EDA netlist
из Квартуса вместе со своим тесбенчем. Поначалу попробовал в Aldec Riviera.
Хрен! По её мнению в нетлисте ошибки. Как раз в том месте где память инициализируется.
Ну ладно думаю... ставлю Active-HDL 6.3 - те-же яйца!
Тут у меня закралась мысль, что что-то видимо с библиотеками.
Качаю библиотеки от A-HDL6.3 для Квартуса 4.2 там так и написано!
Компилироваться наконец-то началось не только без ошибок, но даже и без варнингов...думаю...удача! Ан нет...
При запуске симулятора, всё просто виснет НАГЛУХО!
Выдрал из A-HDL эти новые библиотеки, засунул в Ривьеру.
Да-да! Именно это и произошло... тоже НАГЛУХО... с вылетом в WIN через минуту работы...
Моделсим не виснет, просто СРАЗУ вылетает...
Причём оговорюсь, это не проблемы железа, запускал и дома и на работе - результат одинаковый,
да и функциональная симуляция во всех вышеперечисленных программах работает нормально.

Блин, что происходит... не понимаю... В чём нынче можно времянку отмоделировать?

Может у кого-нибуть есть позитивный опыт симуляции в каком-то сочетании Квартус+какойтосимулятор?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru