[an error occurred while processing this directive]
вот для альтеры(+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Postoroniy_v 19 января 2005 г. 09:27
В ответ на: REM, MOD, Двоично-десятичный счетчик. VHDL. Помогите сделать.... отправлено Compana 18 января 2005 г. 23:05

library IEEE;
use IEEE.STD_LOGIC_1164.all;

library LPM;
use LPM.lpm_components.ALL;


entity bcd_cnt is
port(
clk : in STD_LOGIC;
reset : in STD_LOGIC;
dig : out STD_LOGIC_VECTOR(27 downto 0)
);
end bcd_cnt;

architecture bcd_cnt of bcd_cnt is
signal cin:std_logic_vector(6 downto 0);

begin

gen_loop:for i in 0 to 6 generate
dig0:if i=0 generate
cnt_bcd:lpm_counter
generic map(
LPM_WIDTH => 4,
LPM_MODULUS =>10
)
port map(
clock =>clk,
aclr => reset,
q =>dig(3 downto 0),
cout =>cin(0)
);
end generate;
digx:if i>0 generate
cnt_bcd:lpm_counter
generic map(
LPM_WIDTH => 4,
LPM_MODULUS =>10
)
port map(
clock =>clk,
aclr => reset,
q =>dig(i*4+3 downto i*4),
cin =>cin(i-1),
cout =>cin(i)
);
end generate;
end generate;


end bcd_cnt;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru