[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено dsmv 03 августа 2001 г. 11:59
В ответ на: Проблемы с 2-мя нижними (+) отправлено Kota 03 августа 2001 г. 08:53

Скорее всего неправильно установлен САПР.
В общем банальный совет:
поставить OrCAD 9.1 в конфигурации Shematic + Express Plus
и для начала попробовать такой файл:

--
-- ptsum.vhd
--

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity ptsum is
port(
a: in std_logic_vector( 7 downto 0 );
c: out std_logic_vector( 7 downto 0 )
);
end ptsum;

architecture ptsum_1 of ptsum is
begin
c<=unsigned( a )+1;
end ptsum_1;

Синтезируется для Альтеры без проблем.
А разводкой в кристалле лучше на пользоваться.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru