[an error occurred while processing this directive] [an error occurred while processing this directive]
На Максе работают оба варианта(и Ваш и мой), а с Xilinx я не знаком(+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено vitA 07 августа 2001 г. 15:46
В ответ на: Ответ: Ругается Xilinx отправлено Kengur 07 августа 2001 г. 14:31

Может действительно стоит почитать HDL Compiler reference manual? :-)

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru