[an error occurred while processing this directive] [an error occurred while processing this directive]
(+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено vitA 10 сентября 2001 г. 18:18
В ответ на: 1) неоптимальный отправлено yes 07 сентября 2001 г. 14:21

Synplicity Verilog Compiler, version 6.2.0, Build 083R, built Feb 28 2001

chip==================ep1k10tc100-1
estimated fmax========206.5 MHz
Logic resources=======81 LCs

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru