[an error occurred while processing this directive] [an error occurred while processing this directive]
разбираясь с оптимизацией синтеза
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено yes 22 августа 2001 г. 12:12
В ответ на: вопрос по синтезу VHDL отправлено Filin 20 августа 2001 г. 23:51

синтезировал конструкцию

что странно - заставить синтез (Ambit / Cadence) убрать лишний триггер (описал timer как 5-ти битный регистр, а достаточно 4-х) так и не удалось...

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru