[an error occurred while processing this directive] [an error occurred while processing this directive]
Господа!!! Решил попробовать Orcad, приминительно к VHDL (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Kota 02 августа 2001 г. 14:56

Создал проект, выбрал семейство, подключил уже проверенный VHDL файл.
Далее нажимаю компилировать или построить. В результате Orcad резко завершает свою работу.
Это глюк или я что-нибудь нетак делаю?
Заранее спасибо всем ответившим.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru