[an error occurred while processing this directive]
в process( ) ничего добавить не хотите?(+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Postoroniy_v 26 января 2005 г. 13:46
В ответ на: Вопрос по VHDL отправлено TimurNSTU 26 января 2005 г. 12:52

Sensitivity List
Formal Definition:
A list of signals a process is sensitive to.


Simplified Syntax:
(signal_name, signal_name, . . .)

Description:
The sensitivity list is a compact way of specifying the set of signals, events on which may resume a process. A sensitivity list is specified right after the keyword process (Example 1).

DFF : process (CLK,RST)

begin

if RST = '1'

then Q <= '0';

elsif (CLK'event) and (CLK = '1')

then Q <= D;

end if;

end process DFF;

-- DFF : process

-- begin

-- if RST = '1'

-- then Q <= '0';

-- elsif (CLK'event) and (CLK = '1')

-- then Q <= D;

-- end if;

-- wait on RST, CLK;

-- end process DFF;

Here, the process is sensitive to the RST and CLK signals, i.e. an event on any of these signals will cause the process to resume. This process is equivalent to the one described in the comment section


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru