[an error occurred while processing this directive]
Присоединяюсь. Это не так сложно, зато дает больше понимания и гибкости.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено dxp 10 февраля 2005 г. 17:07
В ответ на: Создайте свой FIFO и моделируйте на здоровье :) (+) отправлено A_S_N 10 февраля 2005 г. 16:28


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru