[an error occurred while processing this directive]
Игнорирование RLOC на верхнем уровне иерархии.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено fire-ash 10 марта 2005 г. 04:43

Уважаемые Господа, помогите справиться со следующей проблемой. Имеется скажем три уровня иерархии:
1)Нижний, состоящий из примитивов,
2)Средний состоящий из комбинации этих примитивов залоченых RLOC (данный уровень маппиться так как надо)
3)Верхний - состоящий из компонентов среднего. keep_heirarchy=yes/ но RLOC-и на все компоненты игнорируются и дbзайн просто "флатиться". с сообщением
INFO:Map:91 - pulse_gen symbol "d1" has an RLOC attribute and will be ignored since it is on a hierarchical block not directly recognized by map. This may be caused by an error in the Xilinx library expansion or the symbol or by a third-party vendor incorrectly expanding the symbol.

Может кто подскажет где ошибка? Может я set-ы неправильно выставляю или еще где. Синтезируется в ISE 6.3.
Спасибо

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru