[an error occurred while processing this directive]
Я еще не колдун, но имхо так.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Artem 28 марта 2005 г. 10:12
В ответ на: делитель частоты на 4 отправлено lipas 28 марта 2005 г. 10:07

reg [2:0] сnt;

always @ (posedge clock)
begin
cnt <= cnt + 1;
end


По крайней месте в одном месте программы оно у меня работает. :)
Но с другой стороны по крайнем месте в другом - не работает. :(

:)

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru