[an error occurred while processing this directive]
Так! (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено druzhin 28 марта 2005 г. 19:55
В ответ на: Я не про это. отправлено Artem 28 марта 2005 г. 13:13

module divider4

( input clk, rst,
output reg div4 );

reg [1:0] div = 2'b00 ;

always @(posedge clk) div4 <= div[1] ;
always @(negedge clk, posedge rst) if (rst) div <= 2'b00 ; else div <= div + 1 ;

endmodule

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru