[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено vad 29 марта 2005 г. 14:56
В ответ на: делитель частоты на 4 отправлено lipas 28 марта 2005 г. 10:07

все очень просто - первый класс, вторая четверть:

signal count : std_logic_vector(1 downto 0);

process(clk_56MHz)
begin
if rising_edge(clk_56MHz) then
count <= count + 1;
end if;
end process;

clk_14MHz <= count(1);

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru