[an error occurred while processing this directive]
|
все очень просто - первый класс, вторая четверть:
signal count : std_logic_vector(1 downto 0);
process(clk_56MHz)
begin
if rising_edge(clk_56MHz) then
count <= count + 1;
end if;
end process;
clk_14MHz <= count(1);
E-mail: info@telesys.ru