[an error occurred while processing this directive]
Помогите разобраться. Есть небольшой кусочек кода VHDL,
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Хелп ми 13 апреля 2005 г. 17:14

на котором синтезатор(XST) спотыкается(второй процесс), при этом я получаю следующую ошибку:
ERROR:Xst:1534 - Sequential logic for node appears to be controlled by multiple clocks.
причем если заменить outchan на внутренний сигнал s2 и outchan вообще не использовать все нормально синтезируется. Может кто-нибудь объяснит мне в чем тут проблема и каково ее решение?

Сам код:
entity agc is
Port ( clk : in std_logic;
strob : in std_logic;
data : in std_logic_vector(3 downto 0);
sinc : in std_logic;
gen : in std_logic;
mu_data : in std_logic_vector(6 downto 0);
mu_zu : in std_logic;
vatu_in : in std_logic_vector(3 downto 0);
vatu_out : out std_logic_vector(3 downto 0);
outchan : out std_logic_vector(62 downto 0));
end agc;

architecture Behavioral of agc is

signal s1: std_logic_vector(62 downto 0);
signal s2: std_logic_vector(62 downto 0);
signal faza1: boolean;
signal faza2: boolean;
signal count_clk: boolean := true;
begin

vatu_out <= vatu_in;

p_com_w: process(clk,strob,data)
begin
if strob = '1' then
if ( clk'event and clk ='1' and count_clk) then
count_clk <= false;
case data is
when "1001" => faza1 <= TRUE; faza2 <= false;
when "1010" => faza2 <= true; faza1 <= false;
when others => null;
end case;
end if;
else
count_clk <= true;
end if;
end process p_com_w;


p_shift_data: process(clk,strob)
begin
if strob = '1' then
if (clk'event and clk ='1' and faza1) then
s1 <= s1(58 downto 0) & data;
end if;
if (clk'event and clk ='1' and faza2) then
outchan <= s1;
end if;
elsif strob = '0' then
if (clk'event and clk ='1' and faza1) then
outchan <= s1;
end if;
end if;
end process p_shift_data;

end Behavioral;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru