[an error occurred while processing this directive]
Если у вас под case стоит выражение типа integer, то в ветках можно задавать диапазоны (+)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено urri 22 апреля 2005 г. 17:51
В ответ на: Как на VHDL упростить описание автомата(+) отправлено переходящий от AHDL к VHD 21 апреля 2005 г. 15:49

Приведенный выше пример можно сделать так:

case conv_integer(data_in(7 downto 0)) is
when 0 to 63 => next_state <= st1;
when 192 to 255 => next_state <= st3;
when others => next_state <= st0;
end case;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru