[an error occurred while processing this directive]
Мне кажется, что вот так понятнее
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Victor® 16 мая 2005 г. 18:42
В ответ на: Возможна ли оптимизация приведенного кода VHDL отправлено <font color=gray>vzhik</font> 16 мая 2005 г. 15:52



strob_former




library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity strob_former is
port
(
reset :in std_logic;
clock :in std_logic;
strob :out std_logic
);
end strob_former;

architecture behave of strob_former is
signal count : std_logic_vector (4 downto 0);
begin
process (clock, reset)
begin
if (reset = '1') then
count <= (others => '0');
elsif (clock'event and clock = '1') then
count <= count + '1';
end if;
end process;

strob <= '1' when count = "00000" else '0';
end behave;




Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru