[an error occurred while processing this directive]
Конечно каждый язык хорош для своих задач (и схемный ввод никто еще не отменял), имхо AHDL отомрет как отмер ABEL у Xilinx(+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено cdg 03 июня 2005 г. 18:05
В ответ на: А попробуйте написать что-либо такое, что при синтезе с Verilog будет быстрее работать чем, при использовании AHDL. отправлено Victor® 03 июня 2005 г. 15:03

AHDL всем хорош, но уж больно он усечен в плане гибкости описания логических схем попробуйте например решить на нем такую задачу: подсчитать количество единичных бит (за 1 такт) во входном векторе разрядностью 64 бита. Или посложнее - найти за 1 такт входной вектор А(разрядностью 8) во входном векторе В (разрядностью 64) и выдать номер позиции бит с которых начинаются найденные векора и количество этих последовательностей, как слабо на голом AHDL?. Я признаюсь честно мне на AHDL такие задачи не по плечу, поэтому и на Verilog перешел. Каждый язык хорош для своих задач, однако тенденции идут к тому, что Altera постепенно переходит на использование HDL в своих мегафункциях, Xilinx похоронила Abel уже давно, да и особенных препядствий подмены AHDL-я Verilog-ом я, например, не вижу. На Verilog можно использовать любые примитивы Altera - carry, cascade, exp и прочее, никто Вам не мешает.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru