[an error occurred while processing this directive]
Что будет, если типом формального параметра процедуры будет не сигнал, а переменная? (+)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 29 июня 2005 г. 15:00
В ответ на: 'inout' сигнал в процедуре отправлено javalenok 29 июня 2005 г. 14:31

т. е. так:

procedure PROPAGATE(S: inout std_logic_vector) is
begin
for I in S'low + 1 to S'high loop
S(I) := not S(I-1);
end loop;
else
end;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru