[an error occurred while processing this directive]
Помогите кто-нибудь. В ModelSim не работают следующие конструкции (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Igor A. 15 сентября 2005 г. 14:38

function func(
a: in std_logic_vector;
b: in std_logic_vector
) return std_logic_vector is
constant m: integer := a'length;
constant n: integer := b'length;
type vector is array (n-1 downto 0)
of std_logic_vector(m downto 0);
variable p: vector;
.......

Почему-то m и n оказываются равными 0 !!!

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru