[an error occurred while processing this directive]
интересно а так получится(+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено DPAVLOV 15 сентября 2005 г. 18:28
В ответ на: Помогите кто-нибудь. В ModelSim не работают следующие конструкции (+) отправлено <font color=gray>Igor A.</font> 15 сентября 2005 г. 14:38

type vector is array (natural range <>) of std_logic_vector(a'range);
variable p : vector(b'range);

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru