[an error occurred while processing this directive]
|
есть:
port data: inout std_logic_vector(17 downto 0);
signal acc: std_logic_vector(7 downto 0);
пытаюсь сделать:
acc <= (acc & data(7 downto 0))
пишет:
Destination array size of 8 at dimension 1 does not match source array size of 16
failed with exception: Array operand sizes do not match
что не правильно делаю и как правильно это сделать ?
E-mail: info@telesys.ru