[an error occurred while processing this directive]
... А как их красиво реализовать смотри в исходниках библиотек (use ieee....)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 13 октября 2005 г. 18:38
В ответ на: Логические операции описаны в наиболее вам доступной книге по VHDL. отправлено <font color=gray>andrew_b</font> 13 октября 2005 г. 15:41


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru