[an error occurred while processing this directive]
Кто дурак? (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Dr.Alex 24 октября 2005 г. 15:09

Сравниваем числа разного типа и разной разрядности:


entity test is port
(
data_A : in integer range 0 to 65535;
data_B : in std_logic_vector (11 downto 0);

comp : out std_logic
);
end entity;

architecture test_arch of test is

begin

comp <= '1' when data_A > data_B else '0';

end architecture;

Синтезированная XST схема сравнивает только 12 младших бит!
Где такое написано??
Просмотрел исходники библиотек std_logic_unsigned и др, там такого безобразия в явном виде не написано!

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru