[an error occurred while processing this directive]
Как всегда, человек.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 24 октября 2005 г. 16:26
В ответ на: Кто дурак? (+) отправлено Dr.Alex 24 октября 2005 г. 15:09

Человек когда учил эту железяку, он её не учил обманывать.

Библиотека std_logic_unsigned вызывает библиотеку std_logic_arith. Вот в
...arith есть
function ">"(L: INTEGER; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to gt
-- synopsys subpgm_id 328
constant length: INTEGER := R'length + 1;
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
Она уже скромно, по тихому урезает до длины правого аргумента.

Библиотека IEEE.numeric_std не даст сделать такой ошибки.

...

Вот тут бы вновь разгореться спорам на тему "Нафига нужны эти противные, гадкие типы".

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru