[an error occurred while processing this directive]
(+)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Dr.Alex 26 октября 2005 г. 09:38
В ответ на: Не согласен (+) отправлено <font color=gray>andrew_b</font> 26 октября 2005 г. 09:02

XST уже никто не обвиняет..
Да, пользую std_logic_unsigned, а что я должен пользовать?
Только std_logic_arith (без unsigned) и писать так:


library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;

entity test is port
(
data_A : in integer range 0 to 65535;
data_B : in unsigned (11 downto 0);

comp : out std_logic
);
end entity;

Результат тот же, так как unsigned обращается к arith.
Oldring, насколько я понимаю, как раз нашёл спецификацию этих самых пакетов, и там написано другое.
А что вы называете стандартным пакетом? Я что-то упустил в терминологии?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru