[an error occurred while processing this directive]
|
XST уже никто не обвиняет..
Да, пользую std_logic_unsigned, а что я должен пользовать?
Только std_logic_arith (без unsigned) и писать так:
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;entity test is port
(
data_A : in integer range 0 to 65535;
data_B : in unsigned (11 downto 0);comp : out std_logic
);
end entity;
Результат тот же, так как unsigned обращается к arith.
Oldring, насколько я понимаю, как раз нашёл спецификацию этих самых пакетов, и там написано другое.
А что вы называете стандартным пакетом? Я что-то упустил в терминологии?
E-mail: info@telesys.ru