[an error occurred while processing this directive]
(+)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Dr.Alex 26 октября 2005 г. 10:14
В ответ на: Ответ (+) отправлено <font color=gray>andrew_b</font> 26 октября 2005 г. 09:59

Если авторство всех этих библиотек принадлежит синопсису, это ещё не значит что они "левые".. Они же специфицированы IEEE..
А std_logic_1164 тогда как? numeric_std ведь совместно с ним нужно пользовать..
За рекомендации собаководов конечно спасибо, учтём,
только в numeric_std нужного оператора не определено!
Есть только integer (<,>,=,) signed/unsigned, а std_logic_vector нет..
Но работает правильно :-о

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru