[an error occurred while processing this directive]
нет, хочется вот так:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Larionov 27 октября 2005 г. 18:44
В ответ на: этого хочется - out=(a AND clk) OR (b AND NOT clk)? но не хорошо это... отправлено yes 27 октября 2005 г. 17:54

signal rw: std_logic;

begin

num_1: process (clk )
begin
if rising_edge(clk) then
rw <= '1';
end if;
end process num_1;

num_2: process (clk )
begin
if falling_edge(clk) then
rw <= '0';
end if;
end process num_2;

end;

но не получаеться :( на выходе rw = 'X' и это понятно почему, а вот как сделать чтоб можно было в разные отрезки времени менять значение rw из разных процессов я никак допереть не могу :(((

Памагита !!!

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru