[an error occurred while processing this directive]
|
signal rw: std_logic;
begin
num_1: process (clk )
begin
if rising_edge(clk) then
rw <= '1';
end if;
end process num_1;
num_2: process (clk )
begin
if falling_edge(clk) then
rw <= '0';
end if;
end process num_2;
end;
но не получаеться :( на выходе rw = 'X' и это понятно почему, а вот как сделать чтоб можно было в разные отрезки времени менять значение rw из разных процессов я никак допереть не могу :(((
Памагита !!!
E-mail: info@telesys.ru