[an error occurred while processing this directive]
value := value sll <digit> %) вот только для начала нуно будет std_logic_vector к unsigned/signed привести что бы было все корректно
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено des00 23 ноября 2005 г. 15:28
В ответ на: ну зачем издеваться... я вот тоже не помню как в VHDL сдвигать отправлено yes 23 ноября 2005 г. 14:00


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru