[an error occurred while processing this directive]
Я в начале освоения вхдл. Вопрос
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено 1 24 ноября 2005 г. 16:24

Как правильно употреблять вх и вых порты, декларируемые в entity. Обязательно ли все время применять сигналы, чтобы сначала присвоить сигналу значение порта а потом уже использовать? Или вводить сигнал, чтобы потом попользовать его в каком-то процессе, а потом отдать полученное значение в вых порт, вместо того, чтобы сразу использовать порт?
Скажем надо писать так всегда:
In_Signal <= In_Port;
Process(clk)
begin
If rising_edge(clk) then
Out_Signal <= in_Signal;
End if;
End process;
Out_Port <= Out_Signal;
Или то, что выше – не железное правило и можно так:
Process(clk)
begin
If rising_edge(clk) then
Out_Port <= in_Port;
End if;
End process;


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru