[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Serge 24 сентября 2001 г. 16:51
В ответ на: Какой пакет наиболее эффективно синтезирует VHDL-текст? И есть ли у кого то сравнительные характеристики разных пакетов. отправлено Slavko 24 сентября 2001 г. 16:13


На мой взгляд, - Synplify...
Проверял на практике...

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru