[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Serge 25 сентября 2001 г. 13:06
В ответ на: Наиболее адекватный реализации стиль VHDL-описания отправлено Nick Kovalyov 25 сентября 2001 г. 02:03

Адекватность описания определяется по типу элементов, используемых
при описании структуры.Если описывать на уровне LUT'ов,то степень
адекватности максимальна,а если использовать макроэлементы,то
будет соответственно уменьшаться.Кроме того,от типа элементов
зависит коэффициет использования ресурсов CLB.
На счет функций преобразования,то такие имеются. См. \Xilinx\vhdl\


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru