[an error occurred while processing this directive] [an error occurred while processing this directive]
как задать начальное значение выходному порту при описании объекта.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Виталий 23 октября 2001 г. 09:26

Ниже следующее задание начального значения выходного порта reg_regim у меня не прошло. Как мне быть?

entity avtomat is
port (
clk: in STD_LOGIC;
en : in STD_LOGIC;
reg_regim: out STD_LOGIC_VECTOR (3 downto 0):="1011";
);
end avtomat;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru