[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: см.(+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено IgorK 30 октября 2001 г. 03:29
В ответ на: Был глобальный, но не помогало. отправлено M Nemo 29 октября 2001 г. 15:55

Может быть, асинхронный сброс (aclr) использовали? Если lpm_counter, то в данном случае (14,318M=>500Hz) лучше все-таки использовать параметр lpm_modulus=28636; в этом случае 'clear' не используется, а по достижении 28635 в счетчик *загружается* 0.
Посмотрите Assign->GlobalProjectLogicSynthesys->Synthesys Style - нужно 'Fast'. В синхронной схеме, если вписываемся по быстродействию комбинаторной логики в период тактовой частоты, так наз. 'иголки' не могут приносить никакого вреда, поскольку все изменения происходят по перепаду глобального CLK. В вашем случае очевидно вписываемся с большим запасом.

--IgorK

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru