[an error occurred while processing this directive] [an error occurred while processing this directive]
Не работает конструкция....
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Chaplya 06 ноября 2001 г. 16:58

case count(5 downto 0) is
when (---110) => var1<='1';
when (110111) => var2<='1';
......

end case;

необходимо заменять незначажие символы (в опесухе написано что "-")
ModelSim нормально компилирует, моделируется тоже без ошибок, но сигнал var2 переходит в 1, а var1 нет...

Что не так, мож знаете!!!!

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru