[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Romik 28 ноября 2001 г. 01:01
В ответ на: Непонятно, почему VHDL-компилятор ругается на... отправлено эээ... 23 ноября 2001 г. 16:27

Eto gliuk vhdl :-)
sdelay tak:

type your_type is array(0 to 255) of bit_vector(0 to 7);
signal FIFOMEM: tour_type;

dolzhno zarabotat'

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru