[an error occurred while processing this directive]
|
При синтезе блока
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
use std.textio.all;
entity read_text is
Port ( B : in std_logic_vector(4 downto 0);
I : out std_logic_vector(4 downto 0);
O : out std_logic_vector(4 downto 0));
end read_text;
architecture Behavioral of read_text is
begin
check_results : process(B)
variable tmptenthsout: std_logic_vector(4 downto 0);
variable line_m: line;
file vector : text is in "values.txt";
begin
readline(vector, line_m);
read(line_m, tmptenthsout);
O<=(tmptenthsout);
end process;
I<=B;
end Behavioral;
выдается сообщение line 24: File куда я только этот файл values.txt не подбрасывал и в директорию проекта и указывал полный путь, все равно одна и таже ошибка: не видит синтезатор этого файла и все. В чем проблема?
E-mail:
info@telesys.ru
Ответы
Перейти к списку ответов
|||
Конференция
|||
Архив
|||
Главная страница
|||
Содержание