[an error occurred while processing this directive]
К примеру...(+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Кн 27 марта 2006 г. 13:19
В ответ на: Пример из книги не работает. Почему? отправлено Лёха 27 марта 2006 г. 12:43

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity en_counter is
port (a : in std_logic_vector (7 downto 0);
b : in std_logic_vector (7 downto 0);
s : out std_logic_vector (8 downto 0)
);
end en_counter;
architecture sum_behave of en_counter is
begin
s <= ('0' & a) + ('0' & b) ;

end sum_behave;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru