[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SAZH 21 апреля 2006 г. 11:57
В ответ на: ram на synplify 8.5 отправлено <font color=gray>vitaliy</font> 21 апреля 2006 г. 09:09

Я не знаю как инициировать начальные значения. Если сделаете, покажите. Единственно что смущает, памяти вроде не получается. Чисто регистровая структура. У xilinx и Альтеры есть рекомендации, например:
module ram16x16(
input clk, we,
input [3:0] a,
input [15:0] di,
output [15:0] do);

reg [15:0] mem [15:0];
reg [3:0] read_add;

//initial $readmemh("ram.dat", mem);
//Verilog HDL Single-Clock Synchronous RAM with Read-Through-
//Write Behavior
always @(posedge clk) if(we) begin
mem[a] <= di;
read_add <= a;
end
assign do = mem[read_add];
endmodule

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru